Запис Детальніше

Проведення діагностичних експерементів у керуючих автоматах з використанням синхроізуючих послідовностей

Електронного архіву Харківського національного університету радіоелектроніки (Open Access Repository of KHNURE)

Переглянути архів Інформація
 
 
Поле Співвідношення
 
Title Проведення діагностичних експерементів у керуючих автоматах з використанням синхроізуючих послідовностей
 
Creator Шкіль, О. С.
Мірошник, М. А.
Рожнова, Т. Г.
Пахомов, Ю. В.
Караман, Д. Г.
 
Subject діагнозтика
електроенергетика
HDL-модель
мови опису апаратури
diagnosis
electroenergetics
HDL model
language of description of equipment
 
Description Розглядаються питання підвищення надійності функціонування систем логічного управління в системах
газопостачання. Наводиться алгоритм роботи автоматичної газорозподільної станції, граф переходів керуючого автомата та його модель на мові опису апаратури. Для діагностування керуючого автомату пропонується проводити «неруйнівний» діагностичний експеримент з обходу всіх вершин (дуг) графа переходів автомата з використанням синхронізуючих послідовностей. Наводиться приклад побудови синхронізуючої послідовності та діагностичний експеримент з її використанням. Моделювання VHDL-моделі при проведенні діагностичного експерименту здійснювалося в середовищі Active-HDL.
 
Date 2019-06-17T20:00:35Z
2019-06-17T20:00:35Z
2018
 
Type Article
 
Identifier Проведення діагностичних експерементів у керуючих автоматах з використанням синхроізуючих послідовностей / Шкіль О.С., Мірошник М.А., Рожнова Т.Г., Пахомов Ю.В., Караман Д.Г. // Радиоэлектроника и информатика : научно-технический журнал / М-во образования и науки Украины ХНУРЭ. – Харьков, 2018. – № 3. С.82--89
http://openarchive.nure.ua/handle/document/9394
 
Language uk
 
Publisher Харьковский национальный университет радиоэлектроники